More than one set of code folding keywords

This forum should be used for all code folding problems, questions or suggestions. You can also use this forum to request folding support for a new language.
Post Reply
emh203@psu.edu

More than one set of code folding keywords

Post by emh203@psu.edu »

Hello:

I just submitted a request for code folding for verilog (which was promptly added). Is it possible to add another set of keywords for verilog that will also code fold?

Will future versions have the ability to make code folding user definable?

-Eli
jussij
Site Admin
Posts: 2650
Joined: Fri Aug 13, 2004 5:10 pm

Post by jussij »

Is it possible to add another set of keywords for verilog that will also code fold?
The Verilog folding that was added should fold these start of fold markers:

Code: Select all

begin
attribute
case
casez
function
module
primitive
specify
table
task
and these end of fold markers:

Code: Select all

end
endattribute
endcase
endfunction
endmodule
endprimitive
endspecify
endtable
endtask
If there are fold markers missing or you find any problems with the folding behaviour just post a message to this forum.
Will future versions have the ability to make code folding user definable?

As you have seen, the time and effort in adding a new language is minimal, while the effort required to code, test and document a user configuration layer would be much greater.

So for the mean time there are no plans to make this feature user configurable.

Jussi
Post Reply