Here is a simple test case I was trying -
module MAX10_ST (
output reg [7:0] dout,
input wire rst_n,
input wire clk
);
reg [7:0] din ;
wire clk_int ;
always @ (posedge clk or negedge rst_n)
begin
if (~rst_n) dout <= `DELAY 8'h00 ;
else dout <= `DELAY dout + 1 ;
end //always
endmodule
If I type ...
Search found 2 matches
- Sat Oct 01, 2016 3:42 am
- Forum: General
- Topic: Cannot get Auto-complete to work
- Replies: 4
- Views: 33357
- Thu Sep 29, 2016 4:11 pm
- Forum: General
- Topic: Cannot get Auto-complete to work
- Replies: 4
- Views: 33357
Cannot get Auto-complete to work
I'm trying to get the editor to do autocomplete and I'm having zero luck. I can't get the real-time to work at all no matter when I type '.' so I must be missing something basic. If I use the manual "tags -> Autocomplete current word..." it pops works for some.
Which leads me to my next question ...
Which leads me to my next question ...